TAG :芯片

  • View More qualcomm.PNG
    半导体

    「面子」让给黄仁勋,高通赚「里子」就盆满钵满

    COMPUTEX 2024最大赢家是谁? 教你「一个COMPUTEX,各自解读」的秒招。
     





    Nvidia黄仁勋:这还用说,不是我是谁!连续两周全台湾媒体从早到晚都跟着我,还有热情的女粉丝挺胸而出要签名,苹果库克有这种待遇吗?
     





    英特尔基辛格:做人要以德服人,怎么可以用「吃」服人!你看大家都椰榆我,每一家都说CPU性能比我好,我有翻脸吗? 我还不是陪笑脸到处签名拍照!论胸襟,我才是真正的大赢家!
     





    高通艾蒙:我就问一句,现在上市的AI PC哪一家不是用高通,有谁用x86? 我不是最大赢家,谁是最大赢家!



    (以上均为人工设计告白)
     





    这次COMPUTEX最大卖点就是AI PC,2024年下半卖得好不好还不知道,但所有品牌PC厂第一波都是用高通的解决方案,说高通是赢了「里子」的最大受益者,是一点也不为过。
     





    高通总裁暨执行长艾蒙Cristiano Amon穿上他每次在夏威夷峰会都会穿,且印有高通Snapdragon红色大LOGO的招牌小白鞋,迎战COMPUTEX 2024高喊:「The PC Reborn!」
     





    在智慧型手机、PC、车用,大家对高通的处理器平台骁龙(Snapdragon)都不陌生,「X Elite」是高通在骁龙平台架构下,推出全球第一颗能支援微软Copilot+算力需求的AI PC处理器平台。
     





    高通指出,搭载骁龙XElite系统的Windows笔电,电池续航力将是传统PC的两倍长,在运作部分AI功能时,耗能效率更提高超过100倍,且高通的骁龙X和微软CoPilot+将进入「所有的PC形式」,合作伙伴包括宏碁、华硕、戴尔、惠普、三星、联想。
     





    过去PC上的处理器一向是英特尔、AMD、苹果M系列晶片独大,高通的优势在智慧手机上,但为何微软在进军AI PC领域时,会率先选择与高通合作,而不是循过去Wintel(微软Windows+英特尔Intel)的路线?
     





    在CPU中加入NPU(神经处理单元),是在PC中实现AI效能的路径,非常适合运行大型语言模型和复杂的演算法。高通成功实现了透过全新打造的NPU带给AI PC更好的性能,功耗表现也更优异。
     





    在性能方面,比苹果M3高出2.6倍、比英特尔的Core Ultra 7高出5.4倍。在CPU上,Snapdragon X Elite在相同ISO功率下提供51%更快效能,CPU达到相同峰值效能时功耗较竞品低65%。
     





    根据微软对于AI PC定义,NPU须具备40 TOPS的算力,16GB记忆体、256GB SSD等硬体标准,加上可存取最先进AI模型且具备全天电池续航力。
     





    艾蒙讲得更直接:搭载x86架构是「昨日的电脑」,内建高通处理器的AI PC才是「明日的电脑」。
     





    难怪在这次COMPUTEX期间,高通在捷运广告看板、捷运车厢扑天盖地做足宣传广告高喊「The PC Reborn」,高通确实是AI PC硬体上的最大赢家!

     
  • View More intel pat.JPG
    半导体

    英特尔卸下「傲慢」固桩AI供应链,CEO基辛格还真不容易

    Computex 2024特别热闹,火药味也十分浓厚。 AMD和高通轮番上场直球对决自己的CPU优于英特尔,Nvidia黄仁勋是绝对的GPU宣道者,更不用说还有Arm和联发科、Nvidia的强大结盟。
     



    英特尔执行长基辛格(Pat Gelsinger)在Computex 2024开幕主题演讲中大力反击Nvidia指出,不认同黄仁勋一直让你们所想信的(传统处理器在AI时代已失去动力)观点,摩尔定律(Moore's Law )明明还活得好好的!
     



    基辛格强调,英特尔作为PC晶片的领导厂商,依然对AI普及有重要的影响力,现在的AI风潮就像25年前的网际网路时代初临,潜力十分巨大,是推动半导体产业规模在2030年前达到达1兆美元的最大推动力。
     



    他更强调,英特尔从半导体制造到PC、网路、边缘运算和资料中心等领域都有全面布局,最新推出的Xeon、Gaudi和Core Ultra平台,更可以结合英特尔的硬体和软体生态系,提供适合的解决方案,协助合作伙伴抢攻未来庞大的商机。
     



    不仅如此,基辛格这次来台参加COMPUTEX,更是频频对台湾公开「示爱」表示,英特尔与台湾合作伙伴深耕39年,「 IT」是Intel +Taiwan,还表示感谢台积电的先进技术助攻,让英特尔的Lunar Lake成为AI PC重头戏。 彻底改头换面一改过去常常把台湾很危险、对台积电不太友善的言论挂在嘴边。他身段放得极低,COMPUTEX期间还去合作伙伴的摊位站台、签名。
     



    虽然在COMPUTEX上,大家都喜欢拿英特尔开玩笑,拿英特尔的CPU来彰显自家性能,但不得不说,基辛格肚量很大,想想英特尔是一家多么骄傲的公司,连台积电创办人张忠谋都曾这样形容基辛格「有点不客气,对台积电也很不客气!」


    在英特尔的官方网站上对自己公司文化的描述是:「我们的目标是打造改变世界的技术,以改善全人类的生活。」基辛格更曾公开表示,英特尔过去给客户「傲慢」的感觉,现在英特尔要好好找回「Grovian」葛洛夫式文化和「Tick-Tock」(产品以制程微缩和处理器架构更新方式交替)的节奏性。






    今年56岁的英特尔,曾经是全球半导体龙头、最伟大的企业之一,骄傲是其来有自。但为什么基辛格这次的台湾行,会出现这么大的转变?
     



    因为他很清楚,只有台湾在全世界拥有完整的AI硬体产业供应链,加上台积电的半导体先进制程技术更是能帮助英特尔重返荣耀的唯一路径,没有第二个法子。
     



    英特尔最新的处理器Lunar Lake原本是要采用自己的 20A 节点半导体技术,后来却是以台积电3nm制程N3B制造。这背后代表的最大意义在于,Lunar Lake是英特尔第一次把最高阶x86核心处理器给委外生产,在此之前,最核心的x86处理器都是英特尔自己生产。
     



    台积电与英特尔过去曾合作低阶Atom处理器。英特尔上一代Meteor Lake还是采用自己的Intel 4制程,加上台积电5nm制程的绘图晶片块(GFX tile)、台积电6nm的系统晶片块(SoC tile)及输出入晶片块(IOE tile)。
     



    英特尔接下来针对DT和电竞的NBArrow Lake平台,会采用自己的20A制程? 还是台积电3nm制程? 传出可能混用,部分自己的Intel 20A,部分由台积电3nm制程代工。
     



    基辛格在COMPUTEX主题演讲中也在台上展示了Panther Lake晶圆。英特尔将会在2025年推出Panther Lake,将是第一次采用英特尔自己的18A节点。
     



    同时,对于众多竞争对手为了抢占AI PC大饼,纷纷将枪口对准英特尔之际,公司也强调,英特尔2024年第一季度交付的AI PC处理器数量,已超过所有竞争对手的总出货量。即将推出的Lunar Lake处理器,将为来自20家OEM厂商、超过80款不同型号的AI PC提供强大运算效能。英特尔也预计在今年出货超过4,000万个Core Ultra处理器,进一步巩固其在AI PC领域的领先地位。
     



    英特尔更以共同创办人之一Gordon Moore曾说:「所有已完成的事都能够被超越」来宣示英特尔对AI未来发展蓝图和技术布局的决心。
     



    重返英特尔至今满三年的基辛格,重建英特尔之路每一步都如履薄冰。从初期的自信满满,对台湾、台积电都炮火猛烈,三年后的今天,不但在全球布局上与联电建立起合作关系,基辛格这次来台湾更是把身段放得极低,热情拥抱台湾与供应链,更是公开赞美台积电。无论如何,还是要给予放下「傲慢」的基辛格一点掌声。
     



    基辛格曾经在回锅出任英特尔CEO时兴奋说道:「今天我在这里从事我梦想的工作,心中兴奋之情犹如我18 岁第一次走进英特尔。」 不知道今日的基辛格,是否仍保有回锅英特尔第一天时的兴奋之情。

    相关新闻:

    30年前,张忠谋主动打电话给黄仁勋,开启两家公司合作情缘

    华为是否为竞争对手? 台积电这样回应
     
  • View More SMI.JPG
    半导体

    慧荣苟嘉章:AI带动服务器强大需求,NAND Flash价格下半年续涨

    要说AI拯救全世界是一点也不为过! 2022年底OpenAI刮起的炫风,到2024年都还是热腾腾的巨大商机。 NAND Flash控制晶片大厂慧荣SMI总经理苟嘉章指出,Nvidia囊括AI领域90%市占,其霸主地位在未来3~5年内都很难被撼动,且未来AI算力从云端会逐渐下放 到边缘端,对存储产业而言会是史上难得一见机会!
     
    即将登场的COMPUTEX 2024也是宛如全球科技界的AI盛会,不但服务器和资料中心继续火热,更有AI PC、生成式AI手机题材要开始发酵,AI几乎拯救了全世界的科技产业!
     
    苟嘉章也认为,受惠资料中心对于NAND Flash的需求旺,虽然现货通路端买气不佳,但资料中心的需求非常强劲,需要的存储容量从原本4~8TB增加到32TB,估计NAND价格涨 到2024年下半年没问题,一直到2025年上半年目前都没看到败象。

    从供给端来看,苟嘉章也分析,NAND Flash原厂2024年才刚刚转亏为盈,开始赚钱而已,恢复正常生产会循序渐进,且不会躁进马上扩建新晶圆厂,大家有志一同以 利润为优先,先把亏的钱赚回来再说。
     
    SK海力士第一季毛利率39%,美光20%,据了解,至少要等这些NAND Flash原厂的毛利率连续数季站稳40%以上,才会考虑增加新产能,至少今年都会是NAND Flash存储产业的甜蜜年。

    存储产业在供给端的另一个观察是各大厂的军备竞赛都集中在DRAM HBM记忆体。 最近才传出三星的HBM3过热,没通过Nvidia测试,三星要取代SK海力士成为HBM龙头的梦想,只能再等等,日前三星才宣布半导体负责人换帅,就是因为AI进度落后之故。
     
    业界透露,其实SK海力士现在的HBM技术团队,其实当初是从三星过去的,三星现在应该是悔不当初。 事实上,三星这几年在Foundrr和Memory两大关键版图上都狂掉队很明显,这与接班人李在镕2017年入狱有很大关系。 这么大的财阀企业群龙无首,估计各个事业部的负责人也不敢拍板做大决定,等到2021年李在镕特赦出来,世界早已经出现翻天覆地的变化,现在三星要奋力追赶,自然需花上更 多的功夫。
     
    苟嘉章的观点是,三星在存储领域长期奠定很深远的实力,未来在HBM发展上仍是很有机会,且现在最著急的人应该是Nvidia,因为如果HBM主要供应都掌握在一家手里, Nvidia的AI产品在产能和价格上会一直无法取得更高主导权,因此Nvidia一定会协助三星HBM技术尽快有突破。
     
    另外,他也表示QLC NAND也很适合用在资料中心,慧荣会和NAND Flash大厂、模组厂、服务器厂商一起推动AI发展。 另外,生成式AI手机会是一大机,平价手机也需要AI功能,未来各项应用对于存储需要的容量会呈现爆炸性成长。
  • View More 張曉強.JPEG
    半导体

    台积电延续万亿市值的秘密,都藏在这一张图里

    今天台积电技术论坛上,2024年3月升任共同营运长,现任台积电业务开发、海外营运办公室资深副总暨副共同营运长的张晓强现场金句连发:
     




    台积电做系统整合超过20年,领先推出CoWoS技术,我相信在座各位都可以拼出C-o-W-o-S-.......有天我发现连电视台主播都会拼这个词,你要是没听过CoWoS,大概 是外星人了!

     


    过阵子也不用我和Cliff上台来演讲了,create一个Avatar来讲就好!

     


    (现场张晓强show出一张PPT标志着Nvidia近代GPU产品采用台积电制程技术的性能成长曲线直线向上)他说:AI发展快速,Nvidia产品从V100采用N12、A100采用N7、H100采用N4,一直最新一代 Blackwell采用N4P制程+CoWoS封装让算力成长1000倍,这迅猛的长曲线让人想到了昨晚的Nvidia股价.......

     


    台积电今日技术论坛中,现场含金量最高的一张图应该是3D Integrated HPC Technology platform for AI。 张晓强说这张图是“Money Sheet”(既然价值连城,就不在此大放送!其实是因为现场是禁止摄影)从现场的图上看,是一款用于HPC和AI的新封装平台,并 以矽光子来改善互联。 他表示矽光子技术已经量产,只是这是第一次引入HPC中,用在Data Center。

     


    如果有人要写台积电历史,一定要提到7nm,这是台积电第一次提供全世界最先进的技术,在此之前都是IDM。 之后台积电在2020年更领先进入5nm制程,2023年进入3nm制程。


    以下是今日举行台湾场的技术论坛几个重点:
     

    AI将掀起第四次工业革命,2030年全世界将有10万个生成式AI机器人,生成式AI手机出货量将达2.4亿支。

     


    为了满足AI运算需求,3D堆叠、先进封装技术越来越重要,未来几年将实现单晶片上整合超过2,000亿个电晶体并透过3D封装达到超过一兆个电晶体。

     


    2024年3nm产能比2023年增加三倍,但还是不够用! !

     


    2020~2024年,先进制程产能的年复合成长25%,特殊制程产能的复合成长率10%。 车用晶片出货复合成长率约50%。

     


    SOIC在2022~2026年的产能复合成长100%,CoWoS在2022~2026年的产能复合成长超过60%

     


    台积电从2019年正式使用EUV设备,目前全球56%的EUV机台都在台积电。

     


    N3E已依计画在2023年第四季进入量产,客户的产品良率相当好。 台积电也开发出N3P技术,已通过验证,目前良率表现接近于N3E。 N3P已经收到了客户产品设计定案tape-outs,将于 2024 年下半年开始量产。

     


    2nm是台积电第一次使用奈米片Nano-Sheet电晶体架构,目前进展非常顺利,NanoSheet奈米片的转换目标达90%,换成良率也超过80%,根据计画2nm是2025年下 半年量产。

     


    针对制程后段,会导入新制程与材料,将电阻/电容延迟(RC delay)降低高达10%。 此外,为了强化功率传输,台积电也提供了超高性能金属/绝缘体/金属电容(SHPMIM),其容量密度是上一代技术的两倍之多。

     


    台积电进入埃米(angstrom)时代的A16,结合2nm制程+超级电轨(Super Power Rail)架构设计。

     


    A16 技术的超级电轨(Super Power Rail)架构是一种创新的最佳晶圆背面供电网路解决方案。 A16 将供电网路移到晶圆背面而在晶圆正面释出更多讯号网路的布局空间,借以提升逻辑密度和效能。 此外,它还可以改善功率传输,并大幅减少IR 压降。再者,台积电的创新晶圆背面传输方案也是业界首创,保留了栅极密度与元件宽度的弹性,是具有复杂讯号布线及密集供电网路的HPC产品的最佳解决方案。 相较于台积公司的 N2P 制程,A16 在相同 Vdd (工作电压)下,速度增快8~10%; 在相同速度下,功耗降低15~20%,晶片密度提升高达 1.10X。 台积电计画在 2026 年下半年量产。

     


    NanoSheet奈米片电晶体的下一代会是互补式场效电晶体CFET架构,藉由不同材料的上下堆叠,让垂直堆叠的不同场效电晶体更靠近,改善电流且密度增加1.5~2倍。 台积电强调CFET不是纸上谈兵,研发已经成功验证在wafer siliocon上。

     


    台积电指出,当电晶体架构从平面式(planer)发展到 FinFET,并即将转变至奈米片(nanosheet)架构之后,公司认为垂直堆叠的 nFET 和 pFET (即互补式场效电晶体CFET)是未来制程架构选项之一。台积电进一步指出,内部一直在积极研究将 CFET 用于未来制程架构的可能性。 在考量布线和制程复杂性后,CFET 密度将可提升 1.5 至 2X,除了 CFET,在低维材料方面取得了突破,也可实现进一步的尺寸和能源微缩。

     


    再者,台积电也计画导入新的互连技术,以提升互连效能。 首先,对于铜互连技术,计画导入一个全新的通路结构(via scheme),进而将业界领先的通路电阻(via resistance)再降低 25%。 再者,计画采用一种全新的通路蚀刻停止层(via etch-stop-layer),可降低约6%的耦合电容。 还有,正在研发一种新的铜阻障方案(Cu barrier),可降低约 15%的铜线电阻。除铜互连外,台积电也在研发一种含有气隙的新型金属材料,可降低约 25%的耦合电容。 另外,嵌入石墨烯(Intercalated graphene)也是一种极具前景的新材料,可大幅缩短互连延迟。

     


    TSMC 3DFabricTM技术方面,包含三大平台:TSMC-SoIC、CoWoS和InFO。

     


    SoIC 平台:用于 3D 矽晶片堆叠,并提供 SoIC-P 和 SoIC-X 两种堆叠方案。 SoIC-P是一种微凸块堆叠解决方案,适用于讲求成本效益的应用如行动装置。 CoWoS 平台包括成熟度最高、采用矽中介层的 CoWoS-S,以及采用有机中介层的CoWoS-L 和 CoWoS-R。 InFO PoP 和 InFO-3D 适用于高阶行动式应用,InFO 2.5D 则适用于高效能运算的小晶片整合。 另外,根据产品需求,SoIC 晶片可与 CoWoS 或 InFO 整合。

     


    适用于3D 小晶片堆叠技术的SoIC:SoIC-X 无凸块堆叠解决方案,无论是现有的9 微米键合间距前到后堆叠方案(front-to-back scheme),还是将于2027 年上市的 3 微米键合间距前到前堆叠方案(front-to-front scheme),裸晶到裸晶(die-to-die)互连密度均比40 微米到18 微米间距的微凸块前到前堆叠 方案高出10X 以上。 台积电的SoIC-X 技术非常适用于对效能要求极高的各类HPC应用。台积电更指出,看到客户对于 SoIC-X 技术的需求逐渐增加,预计到 2026 年底将会有 30 个客户设计定案tape-outs。
     




    CoWoS 技术:可将先进的 SoC 或 SoIC 晶片与先进的高频宽记忆体HBM进行整合,满足AI 晶片的严苛要求。 台积电的SoIC 已透过 CoWoS-S 量产出货,并计画开发一种 8 倍光罩尺寸且具备采用A16 制程技术的 SoIC 晶片和 12 个HBM堆叠的 CoWoS 解决方案,计将在 2027 年开始量产。 直至今年年底,台积公司将为超过 25 个客户启动超过 150 个 CoWoS 客户产品设计定案tape-outs。

    台积电与Nvidia合作推出Blackwell AI 加速器,是全球首款量产并将 2 个采用 5 奈米制程技术的 SoC 和 8 个HBM堆叠整合在一个模组中的 CoWoS-L 产品。



    矽光子:台积电表示矽光子是共同封装光学元件CPO的最佳选择,因为其与半导体相容,且可与 EIC/PIC/交换器在封装层高度整合。 台积电创新的紧凑型通用光子引擎(COUPETM)技术透过最短路径的同质铜-铜介面整合电子积体电路(PIC)和光子积体电路(EIC),进而实现超高速射频(RF)讯号(200G/ λ)。
     



    COUPE 解决方案可最小化使用面积,且具备光栅耦合器(GC)和边际耦合器(EC),可满足客户的各式需求。 台积电计画在 2025 年完成小型插拔式连接器的 COUPE 验证,2026 年将其整合于共同封装光学元件的 CoWoS 封装基板,借此可降低 2X 功耗、将延迟降低10X。
     



    同时,台积电也探索一种更先进的共同封装光学元件方案,将 COUPE 整合于 CoWoS中介层,进而将功耗再降低 5X、将延迟再降低 2X。

    相关新闻:

    台积电魏哲家亲赴欧洲拜访ASML、德国蔡司,完成「摩尔定律续命」之旅
    GlobalFoundries中国区换帅传出要找策略联盟伙伴,突破中芯国际与华虹的包围

    50%关税加上去,恐让中国成熟制程产能过剩更严重,「国产化」速度势必提前

    中芯国际咬牙扩增28nm产能,杀价战与庞大折旧金额夹击

     
  • View More asml魏哲家.PNG
    半导体

    台积电魏哲家亲赴欧洲拜访ASML、德国蔡司,完成「摩尔定律续命」之旅

    台积电总裁魏哲家上周进行了一趟非常重要的“欧洲行”,拜访了三家与延续摩尔定律息息相关的欧洲半导体企业,分别是荷商ASML、德商蔡司Zeiss和德商创浦TRUMPF。
     



    ASML执行长Christophe Fouquet在Linkedin上表示,上周在企业总部Veldhoven接待了魏哲家,且藉由此宝贵机会强化ASML和台积电的紧密合作关系。 并且展示了ASML最新产品和创新技术,透过改善EUV曝光机平台,持续推动半导体技术往前。
     



    Christophe Fouquet近一步指出,在ASML生产最新0.33 NA EUV系统的EUV工厂中,与魏哲家分享了 TWINSCAN NXE:3800E曝光机如何以更具成本效益的方式来生产最先进的制程技术。 此外,在比利时微电子imec ASML EUV High NA 实验室中,我们也展示了高数值孔径极紫外光微影设备(High NA EUV)如何支援多个未来的制程技术节点。
     



    ASML特别强调要和生态系统合作伙伴德国蔡司Zeiss、雷射源供应商创浦TRUMPF、荷兰VDL集团强化联盟力量,以达成延续摩尔定律的目标。
     



    Christophe Fouquet更强调,ASML与客户的关系是由对创新和技术进步的共同承诺所推动的。 ASML与台积电的合作关系体现了这一宗旨:近四十年来,它建立在信任、协作和对卓越的承诺的基础上。
     



    Christophe Fouquet刚于4月接下ASML执行长一职,但这并非是与魏哲家的首次会面。 Christophe Fouquet当时以ASML商务长的身份,与ASML前执行长Peter Wennink年初曾进行亚洲巡访客户,拜访台积电总部自然是当时重要行程之一。
     



    众所皆知,针对ASML最新一代、一台要价4亿欧元的High NA EUV曝光机设备,英特尔的采用意愿非常积极且下了订单,台积电维持过往的保守风格,非常谨慎评估,不会轻易在 转进新一代制程技术的第一版,就导入最先进的设备。
     



    另外,从ASML日前端出的2024年第一季财报可知,中国营收贡献仍是该公司支柱,但随着美国的出口管制越来越严格和逐季落实,ASML势必要找寻更多的营 收来源。 业界认为,说服台积电早日导入High NA EUV曝光机设备,并且下订单,会是两家公司下一步的关键发展,且是双方高层会面的重点,包括这次魏哲家亲访ASML总部。
     



    德国蔡司Zeiss半导体也在Linkedin上提到了魏哲家拜访总部Oberkochen。 拥有独特历史的蔡司,总部位于德国西南部巴登-符腾堡州(Baden-Württemberg)的Oberkochen镇,该小镇的人口仅8000人,却掌握着全球最精密、最先进的半导体晶片设备的 反射镜和透视镜。
     



    蔡司是ASML非常重要的技术合作伙伴,是ASML唯一的镜头供应商。 蔡司不但拥有独特的微影和光罩系统技术,手上更有超过2,000个与EUV微影设备相关的关键技术专利。
     



    ASML前执行长Peter Wennink曾说过,没有蔡司的光学器件,ASML将无法生产极紫外光EUV曝光机设备,而没有EUV曝光机,也无法生产人工智慧AI、自动驾驶这些尖端技术的晶片。
     



    蔡司在ASML开发先进制程技术曝光机的重要性上,可从另一件事来看出端倪。 早在今年4月,三星电子会长李在镕就抢先魏哲家一步,先去德国总部拜访蔡司,双方共表示要加强晶片制造的合作,提高晶片良率和效能。 这次魏哲家拜访蔡司德国总部,应该也是有「固桩」的意思。

    相关新闻:

    GlobalFoundries中国区换帅传出要找策略联盟伙伴,突破中芯国际与华虹的包围

    50%关税加上去,恐让中国成熟制程产能过剩更严重,「国产化」速度势必提前

    中芯国际咬牙扩增28nm产能,杀价战与庞大折旧金额夹击

     
  • View More ai pc 2.PNG
    半导体

    AI PC大战开打,高通拿下首局胜利

     
    随着Copilot+首次登场,AI PC大战宣布开打! 微软宣布推出搭载高通Snapdragon X Elite和Snapdragon X Plus的 PC,彻底改变 PC的使用体验。 除了微软自家Surface PC之外,更宣布宏碁、华硕、Dell、HP、联想、三星等所有AI PC全都用高通的解决方案!
     



    微软将「Copilot+ PC」定义为带有NPU的Windows PC,除了有CPU、GPU外,还要结合强大性能NPU,建构出全新AI系统的架构,且电池续航力更强,单次充电可支援长达 22小时影片播放。 微软表示「Copilot+ PC」效能比苹果搭载M3晶片的15吋MacBook Air,还要高出58%。
     



    值得注意的是,微软首批「Copilot+ PC」全都是搭载高通Snapdragon X Elite和X Plus处理器,包括微软自家Surface PC,还有宏碁、华硕、Dell、HP、联想、三星等合作推出AI PC。 除了高通的解决方案,之后也会有搭载英特尔和超微处理器的机型问世。
     



    在这波PC热潮中,高通找到着力点,正在重塑Windows PC生态系的效能领先地位,搭载于Snapdragon X Elite的领先NPU能为笔记型电脑提供最高的每瓦NPU效能,比M3高出2.6 倍,比Core Ultra 7高出5.4倍。
     



    此款NPU整合高通Hexagon NPU架构,可以在超解析度(Super Resolution)等使用案例中提供高达每瓦24 TOPS的峰值效能。 藉由高通Oryon CPU,Snapdragon X Elite在每瓦效能取得领先,PC CPU达到相同峰值效能时功耗较竞品低60%。







    高通也宣布全球OEM合作伙伴推出了首批独家搭载今日发表的搭载Snapdragon X Elite和X Plus的Copilot+ PC包括:
     



    宏碁:推出Swift 14 AI。 Swift 14 AI 结合强大的Snapdragon X系列平台、Windows 11中的Copilot+功能以及Acer  PurifiedView 2.0 和Acer PurifiedVoice 2.0等解决方案,运用AI功能无缝提升生产力和创造力。 此款产品提供配备2.5K触控萤幕显示器的选项,可实现沉浸式视觉效果,以独家的Copilot+ PC设计脱颖而出,在正面配置独特AI商标以及在触控板上采用活动指示器(Activity Indicator)。
     



    华硕:将Snapdragon X Elite和X Plus整合到ASUS Vivobook S 15中,象征个人运算的典范转移。 透过整合45 NPU TOPS和无可比拟的45W TDP,支援ASUS IceCool散热技术,使用者将享受迅速的装置上AI处理能力。 ASUS Vivobook S 15配备15.6吋3K 120 Hz OLED显示器。 以上功能皆整合至轻薄的外形中,并提供整套的I/O埠,是多功能的随身伙伴。
     



    戴尔:推出五款搭载Snapdragon X Elite和Snapdragon X Plus的全新笔记型电脑,为消费者和商用提供全面的产品组合,包括XPS 13、Inspiron 14 Plus、Inspiron 14、Latitude 7455和Latitude 5455,均具备卓越 的速度和AI效能,以及突破性的电池续航力,提升运算能力并简化任务。 新装置也采用NGAI,实现变革的AI PC体验。
     



    惠普:新一代AI PC是专为Snapdragon X Elite平台,以及其专用的神经处理单元(NPU)而设计,每秒能够执行45兆次运作(TOPS),可在装置上运行语言模型和生成式AI 。 HP OmniBook X AI PC和HP EliteBook Ultra AI PC采用最强大的AI PC技术,电池续航力长达26小时,可在装置上快速充电和进行AI功能最佳化,提高生产力。 HP EliteBook Ultra也为商用消费者提供附加功能,包括Wolf Pro Security的新一代防毒软体(NGAV),透过硬体安全功能保护PC直达韧体等级,全面防护使用者凭证和其他关键资讯,获得微软安全 核心PC指定(一种晶片到云端的安全技术,提供安全身份、安全验证和加密服务)和三年保固。
     



    联想:推出联想Yoga Slim 7x与联想ThinkPad T14s Gen 6,为首款搭载Snapdragon X Elite的AI PC。 这些笔记型电脑提供顶级每瓦PC效能和基于NPU的快速 AI 处理能力,每秒最高达45 兆次运作(TOPS)。 Windows 11和Copilot+的强化功能支援离线存取LLM功能,提升创造力、生产力和安全性。
     



    微软Surface:全新Surface笔记型电脑是迄今为止最快且最智慧的Surface笔记型电脑,现在采用超长的电池续航力和由Snapdragon X Elite和Snapdragon X Plus平台支援的全新AI体验,尺寸包括13.8吋和 15吋显示器尺寸。
     



    三星:三星 Galaxy Book4 Edge配备顶尖的混合式AI整合功能,并搭载最快速且最强大的Snapdragon X Elite,使笔记型电脑实现45 TOPS NPU的运算能力。 此装置以纳入连结最为紧密的Galaxy AI生态系。 透过提供14吋和 16吋的Dynamic AMOLED 2X显示器的选项,Galaxy Book4 Edge释放全新等级的创造力和生产力,同时以直观的功能和简单的语言提示打破沟通障碍。 这款装置也将深受喜爱的Galaxy AI功能,像是Google的搜寻圈、即时翻译和聊天助理导入更大尺寸的PC显示器上。

    相关新闻:

    生成式AI的時代弄潮兒,高通如何成為接棒者?

    台积电:除了AI,没一个能打的!

    台积电揭示2nm以下最新技术A16制程,结合超级电轨与奈米片电晶体架构
  • View More 圖庫.JPG
    半导体

    50%关税加上去,恐让中国成熟制程产能过剩更严重,「国产化」速度势必提前

     
    中美贸易战随着美国宣布对电动车、半导体、锂电池课征高关税而再度紧张。尤其,美国针对中国半导体的关税提高到50%,将导致现在中国已经供过于求的成熟制程产能,未来过剩的情况更严重,因为采用中国成熟制程所生产的半导体产品,势必因为高关税而减少外销。
     



    因此,预计中国官方将祭出更多的政策与方案,来加大力度来推动国产化,可能是更多的补贴政策刺激国产化的速度前进。 否则,这几年中国疯狂新建的成熟制程晶圆代工厂,产能过剩的情况将难以想像。
     



    美国这次的大举提高关税,最大一刀是砍向电动车,关税直接拉到100%,呼应特斯拉马斯克所言「如果没有贸易壁垒,世界上多数汽车企业都会被中企击垮。」但 目前比亚迪并未进军美国,中国电动车在美国占比不高,提高电动车关税是在防范未来,要观察的是欧盟会不会跟进针对中国电动车课高关税,因为中国电动车在欧洲 占比很高。
     



    美国关税的第二大刀是对中国半导体出手,关税从25%提高到50%,主要目的是降低美国对中国成熟制程产能的依赖。
     



    美国手上有两张牌「关税」与「出口管制」,在先进制程上,美国拿出的是出口管制禁令,而在成熟制程上,则是拿出筹谋已经的关税政策。
     



    过去几年美国已循序渐进透过限制设备与材料的进口,封锁中国在14~16nm以下的先进制程的逻辑晶片制造。
     



    后遗症是,中国开始往成熟制程领域来扩充产能,过去几年加速购买机台设备,甚至是二手机台设备也抢购,中国半导体厂就是怕美国的禁令一步步逼近下,连成熟制程都被封锁 。
     

    长期下来,演变成中国的成熟制程产能过剩,便宜的IC、廉价的产品逐渐外销到全世界且极具竞争力,席卷全球,美国也因此有了警戒。
     



    不过,对中国成熟制程发出限制令不是美国想做的,祭出高关税的手段压制,才是美国一直以来的计划。
     



    除了要阻挡中国低价产品输出海外,晶片透过外销产品渗透到所有电子产品之外,美国打得另一个算盘是,降低对中国制造的传统晶片的依赖度,于是宣布将半导体关税提高到50% 。 未来将会产生四个影响:
     



    第一,过去几年来,欧美客户原本委由中国半导体代工,在地缘政治的气氛下,都逐渐将订单转出,首选当然是转给台湾半导体厂代工,包括台积电、联电、力积电 、世界先进。 像是,面板驱动IC原本是台湾的强项,后来中国不断逼近追赶,一方面也是狭持其在面板上的优势之故。
     



    未来中国的晶圆代工厂会承接中国客户订单为主,形成一个世界,两个系统。 至于NAND Flash和DRAM记忆体产品,目前中国分别有长江存储和合肥长鑫为供应商,未来也将以供给本土需求为主。
     



    第二,中国会加速推展「国产化」,祭出更多政策来鼓励国产化进程。
     



    美国对中国半导体课征50%关税,就是冲着中国成熟制程产能而来,如果中国不加速去推国产化,使用国产IC,未来成熟制程供过于求的严重程度,会难以想像。 总之,未来中国应该会加速「国产化」,以去除严重过剩的成熟制程产能。
     



    第三,如果有想要外销给美国市场的中国客户,搞不好会选择到非中国本土系统的晶圆代工厂投片,或是使用非中国制造的IC,不然半导体产品会被课征50 %关税,估计消费型产品的机率最大。

    第四,该趋势发展下去,全世界电子相关产品会持续面临成本上涨的挑战。 原本全球化运作的世界,现在分裂成两个系统运作,自然会带来成本上升。

    相关新闻:

    中芯国际咬牙扩增28nm产能,杀价战与庞大折旧金额夹击

    群联:不跟进中国模组厂低价抛售NAND Flash,下半年AI PC生意乐观

    高通订单加速转出中芯国际,世界先进扩产接住电源管理晶片
  • View More SMIC.PNG
    半导体

    中芯国际咬牙扩增28nm产能,杀价战与庞大折旧金额夹击

    中芯国际在最新一季度的法说会中指出,在急单挹注下,今年2月以来12吋的平均产能都是满载,但8吋晶圆市况还在低谷,可能要到2025年中之后 才会恢复健康水平。
     



    中芯国际CO-CEO赵海军指出,原本对景气看法是Double U,第三季还会往下走形成一个凹洞区,但因为12吋厂有急单填满,下半年看法审慎乐观,争取下 半年优于上半年。 整体而言,他认为整个产业在剔除第一、二名台积电和三星后,今年约成长8%,中芯国际的成长可优于该平均值。
     



    中芯国际今年宣布高达75亿美元的资本支出,以及巨大的摊提折旧,一直是外界关注的焦点。 加上中国大陆本土的成熟制程产能过剩,杀价竞争激烈,中芯国际第一季净利7,180万美元,年减将近70%,第一季毛利率13.7%,预计第二季会进一步降至9~ 11%,主要是产能不断扩大,被迫认列更多的设备折旧。
     



    赵海军也表示,Local for local策略是当前全球最时髦的做法,今明两年都是产能建置的高峰年,去年设备采购单都发出去了,深圳、北京、临港12吋厂持续扩建,即使意识 到会共过于求,或许来年会减少投资,但已经发出去的投资现在也无法修正。
     



    至于折旧压力,赵海军也指出,持续扩充12吋晶圆产能是为了满足客户需求,新建产能释放过程折旧金额会上升,从亏损到实现经济规模需要时间,这是行业规律。
     



    中芯国际强调,28nm从2014年开始量产PolySion,2016年开始量产HKMG,28nm PolySion量产超过10年,HKMG量产也超过十多年了。 公司表示,28nm是平面制程,性价比高,从民用、公用、汽车、消费性电子等,客户需求都非常旺盛,长期来看供不应求。 因此,当前面对如此巨大的景气压力,还是要咬着牙扩充28nm产能。
     



    中芯国际也释出三个需求面的好消息:
     



    第一,旧产品的库存消化差不多了,新产品也开始有备货需求,像是低功耗元件、蓝芽、mcu原本已经很久都不拿货了,现在都开始拿货加单,整个行业需求 上来了,存量卖得多,库存自然会下降。
     



    之前才有研究报告指出,某一种类型的mcu库存能继续卖7年,因为当时市场需求是完全干涸,但现在消费市场的需求明显已经逐渐回来,市况好很多。
     



    第二,今年是体育年,有美洲杯、欧洲杯、亚洲杯、奥运会,带动机顶盒、电视等消费性产品的销售量增加。
     



    第三,中国智慧型手机厂商今年都在扩大市占率,每家都在储备库存,自然带动拉货。
     



    在价格方面,中芯国际认为第二季出货量会持续增加,但平均售价会因为产品组合而下降,呈现量升价跌。
     



    赵海军指出,随着本土产能不断开出,行业竞争会越来越激烈。 自从2月以来,中芯国际的12吋平均产能都是满载,但战略客户仍在市场上仍是常遇到更低的价格,尤其是智慧型手机,常常几千万订单就没了,为了 不让客户掉市占率,这类标准型产品会和客户站在同一战线,直接参与竞争。
     



    展望下半年,预计12吋厂平均产能满载会持续一段时间,8吋厂预计要2025年中之后才会恢复健康,但8吋产品对价格较不敏感,再降价空间也不大。
     



    至于上半年的12吋急单挹注,是否会透支下半年的需求? 中芯国际表示,对于下半年态度持续谨慎观察,还看不太清楚,公司目标是成长超越同业步伐(剔除台积电和三星)。
     



    中芯国际2024年第一季营收为17.50亿美元,季增4.3%,年增19.7%,毛利率为13.7%,较上季毛利率16.4%和去年同期毛利率20.8%减少,第一季 净利7,180万美元,年减68.9%,出货量179万片(约当8吋晶圆),季增7%,产能利用率为80.8%。
     



    营收比重方面,中国、美国、欧亚分别占82%、15%、3%。 手机31%、电脑/平板18%、消费31%、互联13%、汽车7%。
     



    相关新闻:

    高通订单加速转出中芯国际,世界先进扩产接住电源管理晶片

    台积电揭示2nm以下最新技术A16制程,结合超级电轨与奈米片电晶体架构

    高通订单加速转出中芯国际,世界先进扩产接住电源管理晶片

     
  • View More ememory1.png
    半导体

    驱动IC杀价恐冲击IP收入? 力旺:折叠机、电子纸标签用量倍增

    IP大厂力旺今日召开法人说明会,谈到今年全面改选董事,且新董事名单有着浓厚的“台积电色彩”,包括台积电董事曾繁城列入董事候选人,阳明交大产学创新研究学院院长孙元成( 前台积电技术长)、西门子EDA全球资深副总裁暨亚太区总裁彭启煌则是列独立董事。
     



    对此布局,力旺指出,主要是金管会2024年上路的新制,避免独立董事任期过久而沦为橡皮图章,要求上市柜公司半数以上独董连续任期不能超过三届9年,且公司也 会跟着晶圆代工厂进入先进制程,这几位董事人选对力旺无论是在技术、经营策略、伙伴关系上,都可以有加分效果。
     



    由于台积电释出全球需求端只有AI强劲,其他应用都疲弱的讯号,外界好奇这对力旺2024年营运是否会有影响?
     



    力旺指出,目前看到客户手上的库存消化告一段落,预计成熟制程会恢复往年的量产需求,且过去三年公司已经累计1000多个设计定案tape-out,会带动权利金成长。 另一个优势当然是力旺的IP组合越来越广,包括MTP、EE、Flash、 RRAM、PUF、SecureOTP、PUFrt (Root of Trust)、PUFcc (Crypto Co-processor)都会持续成长。
     



    中国晶圆厂不断扩充成熟制程产能且杀价竞争的现象,一直让业界十分焦虑。 力旺的看法是,其实不用太担心,因为大陆的晶圆代工领导厂没有跟进,关键原因是,降价不会保证市占率的提升,多数的半导体客户不会只考虑价格,还有 time-to-market、技术、服务等都是重点。
     



    另外,大型美国晶片公司的订单逐渐移出中国,加上美国、欧洲、日本都有半导体在地制造的政策,这些变因都会限制中国半导体制造产能扩张的速度。
     



    近年来中国的国产化风潮,包括各种应用的IC设计不乏中国本土的供应商,还有本地化晶圆厂生产等趋势,未来会不会客户也转用国产IP?
     



    力旺分析,非挥发性记忆体IP的技术门槛高,投入周期十分长,对应产生出来的营收和代工厂相比,非常非常小,因此这类IP不会是中国半导体自主化的首要重点 。 中国当地也有国产IP公司,但客户都很清楚,要跟有“量”的供应商合作,才能对专利有保护、品质获得保障,IP绝对不能选便宜的。 当地IP公司的产品出问题后,客户又转回来使用力旺IP的状况,是屡见不鲜。
     



    面板驱动IC是力旺目前重要的客户应用,而近期驱动IC杀价战严重的问题,也成为关注焦点。
     



    力旺指出,很多DDIC客户都往先进制程移动,OLED IC的比重提升,加上驱动IC其实有很多新应用市场,像是折叠机里面使用的OLED DDIC用量增加,使用到28nm的OLED DDIC。
     



    另外,电子纸朝大尺寸迈进,以及电子标签的广泛使用,其需要的DDIC数量也都是倍增。 还有电子标签客户元本是用力旺的OTP量产,新产品彩色标签需要四色的电子标签驱动IC,用到MTP技术,这些新应用不但有助于驱动IC产业走出红海,更是力旺 的机会。
     



    整体而言,在授权金和权利金的贡献上,力旺提出的展望是:晶圆代工厂和设计公司客户的需求强劲,授权金贡献会持续成长。 另外,权利金会受惠过去三年超过1500个新产品的设计定案逐渐步入量产,会有新的权利金来源开始产生,这些新应用涵盖:6nm的DTV、7nm的自动驾驶辅助系统ADAS 、12nm的SSD、12nm的影像感测器ISP、22/28nm的网通/交换器、22/28nm的smart image processor。








    力旺董事长徐清祥特别解释了安全机制协议标准Caliptra,以及该技术带给力旺的巨大机会。
     



    徐清祥表示,Caliptra是由Nvidia、AMD、Google、微软等科技巨头主导成立的标准。 关于Caliptra的诞生,是考量到边缘运算的兴起都是透过互联网连接,云端和边缘设备之间频繁沟通过程中,要让data在传输时有更高的机密性这件事,变得至关重要 。
     



    尤其,资料中心的机密运算对安全的需求大幅提升,更要求每个SoC等级的晶片都须证明其可信度,这就是科技巨头一同定义并推出了Caliptra的初衷,这是一种可重复使用的 安全IP模组(硬体信任根),可以整合到在未来的SoC中,包括DPU、CPU、GPU和NIC等。 Caliptra1.0也已在2024年3月正式推出。
     



    力旺的IP与Caliptra标准有什么关系? 徐清祥进一步解释,Caliptra Silicon RoT 需要几个关键元件,包括Secure OTP (One-time Programmable Memory)、PUF (Physically Unclonable Function)、硬体乱码生成器TRNG (True Random Number Generator)和Crypto Engine,这些元素 为晶片建立了硬体信任根,确保作业系统、软体、资料的安全。
     



    力旺一直是OTP IP的主要提供者,也开发出可靠的PUF技术,透过整合OTP、PUF和四个环境杂讯,创造了最快的 硬体乱码生成器TRNG 。 为了满足 Caliptra 高标准 Root of Trust 要求,整合 Caliptra Silicon RoT的晶片越来越多,对 力旺的需求也会一直增加。
     



    力旺IP透过以下三个功能来满足 Caliptra Silicon RoT标准:
     



    Unique Chip Identity (藉由晶片指纹):功能类似于身份证,每个晶片都拥有独特的身份识别码。 力旺以专利技术像是PUF和TRNG生成不重复的乱数,并直接储存在 Anti-Fuse OTP 中,而Unique Chip Identity 成为赋予晶片身份证明的基石。
     



    Secure Attestation (藉由晶片身份证书):每个晶片都必须经过安全认证,这是验证其完整性和真实性的过程,透过认证,晶片可以获得资料中心的授权,并在资料中心系统中注册成为 可信任设备,以确保安全连接。 想像成公司为新员工发放工作证,赋予员工身份,使其能够自由进出公司及使用公司资源。 因此,只要晶片被证明过身份,晶片之间沟通将以密文方式进行。 TRNG 及 Crypto Engine 在此将扮演重要角色,从而确保网路安全。
     



    Secure Boot (确保启动时作业系统是可信的):如果被篡改的作业系统要执行非安全启动,后续应用程式会有遭恶意窃听、隐私资讯外泄等风险。 因此,在安全启动过程中,执行安全的硬体预先被启动,然后作业系统映像档程式码则在启动过程中透过硬体进行身份验证。 为了确保作业系统映像档的完整性,必须对来源原码进行保护和验证,这需要由硬体乱码生成器TRNG产生金钥并由加密引擎进行加密/解密。
     



    徐清祥强调,力旺拥有 Caliptra Silicon RoT 所需的完整 IP 布局,随着辉达Nvidia、AMD、Google、 微软将在资料中心相关晶片采用 Caliptra Silicon RoT,未来会推升力旺IP的使 用数。
  • View More HBM1.PNG
    半导体

    卢超群:HBM良率转顺至少还要2~3年,对DRAM排挤效应刚开始而已

    钰创董事长卢超群出席力积电铜锣12吋厂启用仪式时对《SEMICONVoice》表示,AI广泛使用的高频宽记忆体HBM要堆叠8层、16层,售价高达300美元~600美元,这在 半导体产业根本是天价,而且还一堆客户都抢着要买。
     



    卢超群进一步分析,现在HBM记忆体还在技术与良率的摸索期,良率瓶颈要完全打开至少要花上2~3年时间,因此HBM对传统DRAM产能的排挤才刚开始而已。
     



    重点是,现在三大DRAM厂都在抢着争夺HBM巨大商机,每一家记忆体厂都想当HBM老大,根本没有力气去对付传统DRAM,更是倾公司所有资源和最优秀的工程师都去做 HBM,预期下半年利基型DRAM产业会供给量不足,价格一定会水涨船高。
     



    DRAM业界人士更对《SEMICONVoice》透露,投产HBM记忆体不单有耗损wafer面积的问题,且HBM投产制程周期是DDR5的三倍之多,良率又还没拉上来,现在HBM商机大爆发,但 DRAM厂的生产和产能准备没跟上脚步。
     



    尤其,过去两年记忆体厂财报出现巨额亏损,因此都没有积极扩增新产能,只是把原本减产的部分逐渐恢复正常生产,但这对于未来AI时代所需要用到的DRAM和NAND Flash产能根本 不够,现在又有HBM技术瓶颈,未来DRAM产能会十分紧俏。
     



    或许你觉得现在终端需求还不好,但半导体产业链已经渡过漫长的库存消化,等到PC、手机、伺服器的需求都恢复正常后,客户和通路商开始会回补库存,DRAM吃紧的问题 会更加严重。
     



    市调机构TrendForce也发布报告指出,在403地震前,原先预估第二季DRAM合约价会上涨约3~8%,而最新统计出来的数据是上修涨幅大涨至13~18%。
     



    针对HBM排挤DRAM产能的程度,三星的HBM3e产品是采用1alpha制程节点,预计到2024年底将占用1alpha制程产能约60%,会排挤到DDR5供给量。 尤其,第三季HBM3e进入生产放量的时间点,买方已经同意提前到第二季备货,以防第三季HBM供应会出现短缺。
     



    TrendForce也统计,HBM位元需求可望高度成长,2024年将成长近200%,2025年再进一步倍增。 2024年HBM产值占DRAM比重将超过20%,2025年将有机会突破30%。
     



    身为HBM龙头的SK海力士也指出,DRAM产业需求确实之前较为疲弱,但下半年需求逐渐复苏,加上HBM会吃掉更多的产能,随着越来越多DRAM产能挪移去生产HBM, 传统DRAM供应量势必会减少,且慢慢地,供应链现有的库存都会消化殆尽,看好DRAM后势价格走势。
     



    SK海力士也宣布,2024年HBM产能全部售罄,2025年产能基本上也卖完,为了巩固SK海力士在HBM领域的领先地位,计画5月会推出12层堆叠的HBM3E样品,规划第 三季进入量产。
     



    更早前,美光也宣布2024年HBM产能全部售罄,2025年产能多数产能也都被预订。 HBM全球狂热的程度,算是记忆体史上罕见!
     



    SK海力士为了巩固HBM技术的领先地位,也宣布最新世代堆叠16层的HBM3E技术研发进度,目标是2026年进入量产。 据了解,相较12层的HBM,SK海力士的16层HBM以相同的高度堆叠更多DRAM晶粒(die),更关键的是能同步减少DRAM厚度,并防止出现晶圆翘曲(warpage ),SK海力士为克服这些技术难题,从HBM2E开始就采用领先的MR-MUF(Mass Reflow Molded Underfill)技术,并且不断进行改良。
     



    在HBM大战中,长年龙头的三星居然成为SK海力士的手下败将,日前传出不服输的三星,精挑细选集结了100名顶尖的工程师,组成一支HBM团队,目的就是争取Nvidia的HBM 订单,让良率和品质能通过Nvidia的标准,进而一步步蚕食鲸吞SK海力士手上的订单。
     



    三星目前的重心是在全球首款36GB 12层堆叠HBM3E,相较堆叠8层的HBM产品,堆叠12层的HBM3E可让AI学习速度提升34%。 三星总裁兼执行长庆桂显Kye Hyun Kyung指出:“第一回合输了,但第二回合是非赢不可。”

    相关新闻:

    三星DDR3将提前退役,HBM耗损Wafer面积是DDR5三倍,DRAM产业正处关键转折点

     
  • View More ic.JPG
    半导体

    高通订单加速转出中芯国际,世界先进扩产接住电源管理晶片

    4月最后一天,晶圆代工厂世界先进、记忆体封测厂力成、消费性IC设计盛群集中在同一天举行法说。 幸好,这次三家半导体厂同步释出第二季手机、消费性电子触底后开始回暖的讯息,终于没有把法说会开成法会!
     





    其中,世界先进释出的前景比市场预期的乐观很多,提出第二季出货强劲成长17~19%,产能利用率可比第一季53%提升10个百分点至65%左右,惟毛利率微 幅下滑至25~27%。 再者,世界先进第二季会有LTA长期合约的贡献。
     





    值得注意的是,世界先进在法说会中释出扩充晶圆五厂4000片的讯息,这4000片的扩增产能其实被讨论很久了,但碍于市场需求不振,公司一直延后扩充脚步 。
     





    世界先进晶圆五厂增加的产能主要是电源管理IC,来自于“从中国转出的订单”。 据了解,高通把电源管理晶片PMIC从中芯国际转单的动作加快,其他像是芯源系统MPS过去也高度依赖中国大陆的晶圆厂做代工如中芯国际、华虹等,受到过去几 年地缘政治影响,也都陆续转出订单,因此世界先进决定扩产支援。
     





    同样也是地缘政治影响,中国大陆本土晶圆代工厂大力扩产成熟制程产能,价格战猛烈,在世界先进法说会中,分析师也关切是否会被这些过剩的成熟制程产能或是价格战影响?
     





    世界先进明确表示,不会加入价格战,中国晶圆厂的杀价竞争反映的是过度扩产成熟制程后的产能过剩,以及整体经济需求疲弱,公司还是会聚焦在提供服务和有竞争力的技术 ,在需求疲软时,在价格上会与客户共体时艰,等到库存健康化后再恢复,但对于杀价接单是没有兴趣的。
     





    另外,世界先进也提到,因为地缘政治风险,导致许多外商订单从中国的晶圆厂转出去,这些都是世界先进的机会,这样的趋势其实2023年就很明显,未来转单带动的效益 会更大,尤其是电源管理晶片PMIC。
     





    另一点关注点是,2024年世界先进股东会中将改选9名董事,但母公司兼大股东台积电并未派任法人代表参选,先前台积电的法人代表方略和曾繁城,都改以自然人的身份 参选。 台积电表示,将行使投票权支持适当的董事候选人。
     





    台积电目前持股比例达28.32%,为最大股东,其次则是国发基金16.72%。 台积电表示,因为与世界先进并非从属关系,为强化经营者责任与公司治理,因此不再派任法人代表董事进入世界先进的董事会,台积电也没有无出脱世界先进持股的计划。
     





    世界先进第一季营收96.33亿元,出货量较上季减少4.1%,ASP持平,毛利率24.2%,晶圆出货量46.9万片。 第一季营收中,电源管理晶片65%、大尺寸LCD面板驱动晶片19%、小尺寸面板驱动晶片11%,其他5%。 展望第二季,预计晶圆出货量将增加17~19%,ASP较上季减少2~4%,毛利率25~27%。
     





    世界先进表示,第二季的订单能见度转佳,景气触底后逐步回暖,消费性电子上半年库存可望回到正常健康水位,但工业和车用电子的库存调整要到第二、三季度 才会正常化,预计下半年景气状态是温和成长。
     





    世界先进2024年整体产能微幅增加至338.7万片,资本支出为新台币38亿元,其中60%用于晶圆五厂的扩充,其他为例行性支出。
     





    有关403地震对世界先进的影响,公司指出,80%厂区设备在一天内复原,其他多个厂区多在4天内恢复生产,而晶圆二厂则是在一周后恢复生产,评估对线上晶 圆产能报废导致的影响占第二季约1.5个百分点,2%晶圆延迟到第三季出货。
     





    世界先进主要是8吋晶圆厂,多年来一直规划跨入12吋晶圆厂建置,只闻楼梯响,未真正定案,但只差临门一脚。
     





    业界一直传出世界先进的12吋厂落脚新加坡,台积电也会给予必要的技术和建厂资源支持,有机会在年内动工。 不过,这次世界先进的法说会中并未如外界预期宣布这个好消息。
     





    世界先进指出,要盖12吋厂考虑的面向非常多,包括技术、客户、财务等等,必须要有十足把握才能出手。 同时,公司也表示可以朝与客户JV方向走。 至于落脚新加坡,公司指出,台湾和新加坡都是非常适合投资半导体的地区,新加坡政府也相当积极拉拢有能力建12吋厂的厂商,但其他的不便多谈。
  • View More tsmc 2.JPG
    半导体

    台积电揭示2nm以下最新技术A16制程,结合超级电轨与奈米片电晶体架构

    台积电日前举行的北美技术论坛中,正式揭示六大创新技术问世。 其中,最大亮点应该是台积电在2奈米制程技术之后,最先宣布的TSMC A16技术问世。