TAG :英特尔

  • View More qualcomaipc.jpg
    半导体

    高通AI PC首战未能叫好又叫座,英特尔开始被期待?

    高通为AI PC敲锣打鼓了一年,更是强力主打口号“PC正在重生”,试图将AI PC的气势炒到最高点。只是,近期不少评测报告都反应AI PC有游戏功能跑不动、无法执行部分软体的问题,演变成高通+微软打头阵的AI PC大戏,后续恐有叫好不叫座的隐忧。
     





    过去数十年来,PC的运作都是微软Windows+英特尔x86处理器的组合。 1990年代起,「Intel Inside」更是所有PC和NB的正字标记,标志着英特尔的光辉岁月。但这次的AI PC时代揭幕,打头阵的处理器居然不是英特尔,而是「Arm Inside」的高通处理器晶片。
     





    「Arm Inside」的处理器在PC领域中蚕食鲸吞,关键里程碑应该算是2020年苹果推出M1,宣布放弃用了20年的英特尔架构,成功采用自研的Arm架构处理器,成为全球第一家PC产品而不用依赖英特尔或是AMD的公司,更让全球的晶片开发商更积极探索Arm架构应用在PC的可行性。
     





    然而,这次AI PC大戏登场后,意外地市场居然又开始期待起英特尔了,这是怎么回事?
     





    随着各品牌的AI PC在市场上开卖后,很多评测主都纷纷发现采用高通Arm架构的Snapdragon处理器的AI PC,居然无法执行游戏和部分程式,暴露出Windows on Arm的最大缺点是软体相容性问题。
     





    不得不说,苹果M1舍弃英特尔而采用Arm架构处理器,不是Arm有多厉害,是苹果够强大。同样是采用Arm架构,这次高通领衔主演的Windows on Arm不像苹果这么强运,而是又再一次遇到困难。
     





    Windows on Arm始终面临开发者工具的兼容、开源环境等问题,当然有人把问题核心指微软,认为微软的开发者工具链一向不友善Arm,而开发者在Windows on Arm的体验性不佳,导致对x86的黏着度更高,变成一个彼此责怪的负循环。
     





    此前,英特尔曾公开表示,Arm以模拟方式支援PC软体,但使用者的体感仍与x86平台差距甚远,会持续凸显英特尔耕耘长久的生态链价值。
     





    回过头来,也可以说苹果自己研发的Arm架构处理器会如此成功,是因为是跑自家OS系统,毕竟苹果也无法甩锅给微软。
     





    采用高通处理器的AI PC当然也有很多优点,第一是省电续航的表现力非常出色,可以维持一整天时间。二是可以在离线状态下也可以使用大型语言模型(LLM)功能。基本上只要不跑太复杂的软体,不会出什么太大问题。简而言之,Windows on Arm处理器阵营的AI PC最大卖点是省电、续航,其他软硬体的问题先暂时不要要求太高。
     





    对比AI PC正式发布之前的雄心勃勃,高通的首波并未如预期收割各种溢美之词,但这只是高通进军PC的第一步,还有进步空间是正常的。
     





    外传,微软和高通的AI PC的独家合作协议到2024年底,2025年联发科和Nvidia合作开发Windows on Arm处理器会接着问世,接棒炒热Windows on Arm处理器,更进一步挑战x86架构CPU地位,而英特尔领衔主演的x86版本AI PC即将第三季上市。 AI PC确实让PC重生,对Arm、英特尔,甚至是对高通、联发科这些传统手机晶片的玩家,未来都是重要战场,而最大赢者可以是消费者,大家可以多看看、多比较,然后做出最棒的购物选择!

    相关新闻:
    「面子」让给黄仁勋,高通赚「里子」就盆满钵满

    英特尔卸下「傲慢」固桩AI供应链,CEO基辛格还真不容易

    AI PC大战开打,高通拿下首局胜利

    生成式AI的時代弄潮兒,高通如何成為接棒者?
     
  • View More intel pat.JPG
    半导体

    英特尔卸下「傲慢」固桩AI供应链,CEO基辛格还真不容易

    Computex 2024特别热闹,火药味也十分浓厚。 AMD和高通轮番上场直球对决自己的CPU优于英特尔,Nvidia黄仁勋是绝对的GPU宣道者,更不用说还有Arm和联发科、Nvidia的强大结盟。
     



    英特尔执行长基辛格(Pat Gelsinger)在Computex 2024开幕主题演讲中大力反击Nvidia指出,不认同黄仁勋一直让你们所想信的(传统处理器在AI时代已失去动力)观点,摩尔定律(Moore's Law )明明还活得好好的!
     



    基辛格强调,英特尔作为PC晶片的领导厂商,依然对AI普及有重要的影响力,现在的AI风潮就像25年前的网际网路时代初临,潜力十分巨大,是推动半导体产业规模在2030年前达到达1兆美元的最大推动力。
     



    他更强调,英特尔从半导体制造到PC、网路、边缘运算和资料中心等领域都有全面布局,最新推出的Xeon、Gaudi和Core Ultra平台,更可以结合英特尔的硬体和软体生态系,提供适合的解决方案,协助合作伙伴抢攻未来庞大的商机。
     



    不仅如此,基辛格这次来台参加COMPUTEX,更是频频对台湾公开「示爱」表示,英特尔与台湾合作伙伴深耕39年,「 IT」是Intel +Taiwan,还表示感谢台积电的先进技术助攻,让英特尔的Lunar Lake成为AI PC重头戏。 彻底改头换面一改过去常常把台湾很危险、对台积电不太友善的言论挂在嘴边。他身段放得极低,COMPUTEX期间还去合作伙伴的摊位站台、签名。
     



    虽然在COMPUTEX上,大家都喜欢拿英特尔开玩笑,拿英特尔的CPU来彰显自家性能,但不得不说,基辛格肚量很大,想想英特尔是一家多么骄傲的公司,连台积电创办人张忠谋都曾这样形容基辛格「有点不客气,对台积电也很不客气!」


    在英特尔的官方网站上对自己公司文化的描述是:「我们的目标是打造改变世界的技术,以改善全人类的生活。」基辛格更曾公开表示,英特尔过去给客户「傲慢」的感觉,现在英特尔要好好找回「Grovian」葛洛夫式文化和「Tick-Tock」(产品以制程微缩和处理器架构更新方式交替)的节奏性。






    今年56岁的英特尔,曾经是全球半导体龙头、最伟大的企业之一,骄傲是其来有自。但为什么基辛格这次的台湾行,会出现这么大的转变?
     



    因为他很清楚,只有台湾在全世界拥有完整的AI硬体产业供应链,加上台积电的半导体先进制程技术更是能帮助英特尔重返荣耀的唯一路径,没有第二个法子。
     



    英特尔最新的处理器Lunar Lake原本是要采用自己的 20A 节点半导体技术,后来却是以台积电3nm制程N3B制造。这背后代表的最大意义在于,Lunar Lake是英特尔第一次把最高阶x86核心处理器给委外生产,在此之前,最核心的x86处理器都是英特尔自己生产。
     



    台积电与英特尔过去曾合作低阶Atom处理器。英特尔上一代Meteor Lake还是采用自己的Intel 4制程,加上台积电5nm制程的绘图晶片块(GFX tile)、台积电6nm的系统晶片块(SoC tile)及输出入晶片块(IOE tile)。
     



    英特尔接下来针对DT和电竞的NBArrow Lake平台,会采用自己的20A制程? 还是台积电3nm制程? 传出可能混用,部分自己的Intel 20A,部分由台积电3nm制程代工。
     



    基辛格在COMPUTEX主题演讲中也在台上展示了Panther Lake晶圆。英特尔将会在2025年推出Panther Lake,将是第一次采用英特尔自己的18A节点。
     



    同时,对于众多竞争对手为了抢占AI PC大饼,纷纷将枪口对准英特尔之际,公司也强调,英特尔2024年第一季度交付的AI PC处理器数量,已超过所有竞争对手的总出货量。即将推出的Lunar Lake处理器,将为来自20家OEM厂商、超过80款不同型号的AI PC提供强大运算效能。英特尔也预计在今年出货超过4,000万个Core Ultra处理器,进一步巩固其在AI PC领域的领先地位。
     



    英特尔更以共同创办人之一Gordon Moore曾说:「所有已完成的事都能够被超越」来宣示英特尔对AI未来发展蓝图和技术布局的决心。
     



    重返英特尔至今满三年的基辛格,重建英特尔之路每一步都如履薄冰。从初期的自信满满,对台湾、台积电都炮火猛烈,三年后的今天,不但在全球布局上与联电建立起合作关系,基辛格这次来台湾更是把身段放得极低,热情拥抱台湾与供应链,更是公开赞美台积电。无论如何,还是要给予放下「傲慢」的基辛格一点掌声。
     



    基辛格曾经在回锅出任英特尔CEO时兴奋说道:「今天我在这里从事我梦想的工作,心中兴奋之情犹如我18 岁第一次走进英特尔。」 不知道今日的基辛格,是否仍保有回锅英特尔第一天时的兴奋之情。

    相关新闻:

    30年前,张忠谋主动打电话给黄仁勋,开启两家公司合作情缘

    华为是否为竞争对手? 台积电这样回应
     
  • View More 展銳.PNG
    半导体

    紫光展锐传出缩编射频晶片团队

    手机晶片设计公司紫光展锐过去几年历经了十分频繁人事异动后,近一年来在半导体业界可谓是悄无声息。 展锐曾经是中国老牌的IC设计公司之一,备受注目的中国半导体“国家队”,紫光集团“准IPO”企业,更是中国自研手机处理器(除了华为海思)的第一把 交椅。
     



    就在紫光展锐这样静悄悄好一阵子后,近日传出来的消息却是裁员,业界传出展锐针对射频PA团队进行人员精简。 事实上,中国射频晶片领域特别“卷”,洗牌赛与整合赛是必然的方向。
     



    更早之前,有中国射频厂商指出,射频晶片已经进入无序竞争的地步,从射频开关、手机PA、Cat.1 PA、WiFi6FEM、滤波器等,整个产业过度内卷与竞争的结果,是“ 天天都有最低价”,未来情况会更严峻。
     



    射频晶片曾经备受中国资本的青睐,这要追溯到3~4年前,全球疫情蔓延背景下的极度“缺芯”,以及中国晶片国产化的大时代背景下,中国射频晶片公司大量成立。 因为射频晶片门槛不算高,应用领域广泛,且产品周期短,射频各个细分领域都吸引大量资本进入,希望能从国际巨头占据的大份额市场中,取一瓢饮。
     



    不过,疫情过后手机市场供应链库存一堆、没有换机的新吸引力,加上供给过剩加剧,中国射频晶片在高端市场无法挑战Skyworks、Qorvo、高通等国际巨头,在中低价市场互相竞争 的结果,导致中国射频晶片早已经走上洗牌战之路。
     



    近年来,低调的展锐也积极布局非洲、印度、泰国等新兴市场。 展锐曾对外指出,在新兴市场积极与诺基亚、传音等跨国品牌合作,尤其在非洲,展锐更是携手当地运营商和合作伙伴,助力非洲迈向数字化经济时代。 此外在印度、泰国等新兴领域,同样也是展锐扩展市占率的重点。
  • View More ASML high NA.JPG
    半导体

    英特尔抢头香完成ASML第一台High NA EUV组装,志在抢赢台积电

     
    英特尔宣布,美国俄勒冈州希尔斯伯勒的研发基地中,已完成业界首台ASML供应的商用高数值孔径极紫外光微影设备(High NA EUV)组装,型号为TWINSCAN EXE:5000。
     



    该机台正在进行多项校准步骤,预计2027年启用,率先用于Intel 14A制程,协助英特尔推展未来制程蓝图。 此设备将投影印刷成像到晶圆的光学设计进行改造,明显提升下世代处理器的图像解析度和尺寸缩放。
     



    英特尔计划于2025年Intel 18A的产品验证,以及未来Intel 14A的量产阶段,都会采用0.33和0.55数值孔径的EUV微影设备。
     



    《高数值孔径极紫外光微影设备High NA EUV小科普》
     



    高数值孔径极紫外光High NA EUV技术使用人工的13.5奈米光波长。 此一光波长是利用强大的雷射光束,照射加热至将近摄氏22万度的锡滴上而产生,此温度高出太阳表面平均温度40倍。 光束从含电路图案模板的光罩中反射,再穿过高精度镜组打造的先进光学系统。
     



    NA(Numerical Aperture)数值孔径为衡量光收集和聚焦能力的重要指标,用在光学系统上,决定了光刻的实际图案解析度和缩小电晶体尺寸,以及能够做到的制程节点。 然而,要进一步制造尺寸更小的电晶体,仍需要全新的电晶体结构和相关制程步骤。
     



    ASML目前的EUV曝光机NA只有0.33,对应的解析度为13nm,可以生产金属间距在38~33nm之间的晶片。 往下发展到金属间距缩小到30nm以下,也就是对应的制程节点在5nm以下,解析度就不够了。 或者是需要用多重曝光(pattern shaping)技术来辅助,会导致成本增加且影响良率。
     



    ASML新一代的高数值孔径EUV设备EXE:5000可以做到0.55 NA,解析度缩小到8nm。 相较于0.33数值孔径的EUV微影设备,高数值孔径EUV微影设备(或0.55数值孔径的EUV微影设备)可为类似的晶片尺寸提供更高的成像对比度,可减少每次曝光所需 的进光量,并缩短每层列印时间,从而提高晶圆厂的产能。
     



    采用第一台高数值孔径EUV的英特尔指出,当High NA EUV微影设备与英特尔晶圆代工服务的其他领先制程技术相结合时,列印尺寸预计将比现有EUV机台缩小1.7倍。 由于2D尺寸缩小,密度将提高2.9倍,英特尔将持续引领半导体产业发展更小、更密集的图案化(pattterning)技术,进一步延伸摩尔定律。
     



    《台积电为什么不急着导入高数值孔径EUV? 》
     



    过去半导体进入EUV时代,全球也是三星第一个先使用EUV设备的Foundry厂,台积电第一代7nm制程仍是用多重曝光,第二代才改用EUV技术。
     



    电晶体架构从FinFET(鳍式场效电晶体)转换到GAA(环绕闸极场效电晶体)架构,竞争对手三星、英特尔都在3nm制程抢着采用GAA电晶体,台积电直到2nm制程才会改采 GAA电晶体架构,预计2025年量产。



    从EUV技术导入、采用GAA电晶体,一直到使用高数值孔径EUV技术等历程,可以看出台积电的作风偏向谨慎保守,不会冲第一个采用新技术。
     



    台积电曾回应何时使用高数值孔径EUV设备时表示,技术本身的价值只有在为客户服务时,方能彰显出来。 每当新的工具或设备,台积电都会先研究,看看工具的成熟度和成本,再进一步评估如何去实现。







    图说:ASML的TWINSCAN EXE:5000系统的总重量超过150吨,将先分装于250多个货箱中,并集中装入43个货柜,货柜由多架货机运送至西雅图,再利用20辆卡车 运输到俄勒冈州。 一台售价将近4亿美元! ! !
     
  • View More intel 1.JPG
    半导体

    当科技战火烧到英特尔,中国将彻底与西方脱钩?

     
    在中国,三家深具代表性的美国科技公司:英特尔、微软、苹果。 在过去门户大开的20年中,长驱直入中国市场。 当美国与中国从贸易战打到科技战,现在已经演变成中国与西方世界的对立与对抗。 这把科技战火,最终是要烧到这三家美商科技巨头。
     



    2023年,微软在中国市场做出两个动作,一是正式关闭领英(LinkedIn)中文版APP,退出耕耘近10年的中国市场,也代表最后一个退出中国市场的西方社群媒体。 二是协助位于北京的微软亚洲研究院 (MSRA) 里的顶尖AI专家撤出中国。
     



    2023年9月,传出中国禁止政府相关部门使用苹果iPhone手机,鼓励使用国产手机,惟该消息随后被中国官方否认。 由于苹果一直是股神巴菲特最大重仓的科技股,2023年第四季,巴菲特罕见减持苹果的股份,虽然减持比例很小,但这确实是一个讯号。
     



    2024年3月底,传出中美对抗再升级,这次的祭旗对象是在中国地位始终屹立不摇的英特尔。 传出中国官方下令将逐渐限制在伺服器和PC中采购英特尔、AMD的晶片,转为采购国产晶片。 同时,政府采购指南也将排除微软的Windows作业系统和海外制造的数据库软体,全数转为国产化。
     



    对苹果、英特尔、AMD而言,中国市场的营收占比不小,分别约不到20%、27%、15%。 无论从CPU地位来看,或是营收占比,英特尔与中国都算是深度绑定,因此冲击也最大。
     



    这一年来中国密集朝苹果和英特尔开刀,也被视为是中国与西方世界“切割”的象征。 尤其是拿英特尔祭旗,更被形容为“翅膀硬了”、“要彻底与西方决裂”。
     



    英特尔在1985年正式进入中国市场,在北京设立第一个代表处。 主要是因为1979年,中国通过了《中外合资经营企业法》,这是第一个为跨国企业进入中国市场提供的法律依据。 那一年,英特尔刚推出8088微处理器,更是首次进入《财星》「世界500强」排行榜。
     



    花了几年时间摸清中国市场,英特尔开始认真投资是1994年,当时英特尔CEO安迪·格鲁夫首次到中国参观,并且在上海成立研发中心。 2007年,英特尔更将中国区划为全球行销网路中的独立区域,给予它在机构、行销和人事等方面的决策权,同一年英特尔大连厂开始投产。
     



    经历30年,英特尔与中国的紧密关系,原以为是剪也剪不断,但随着中美关系的降温和科技战的升温,2024年可能是个重大分水岭。
     



    未来,PC和伺服器中不用英特尔、AMD的CPU,那要用哪来的晶片? 改用国产的海光、飞腾、华为、龙芯、兆芯,当中有x86架构、Arm-base,也有自行开发的架构,作业系统是开源码Linux软体。 此时不讲求晶片好用,只要堪用即可。
     



    不用苹果iPhone手机,替代方案是爱国心第一选择华为,不然还有OPPO、小米等。 换到安卓系统的适应是习惯问题。
     



    中国政府部门减少外商技术的采购行动,并非突然发生。 早在2022年9月,国资委发布79号文《关于开展对标世界一流企业价值创造行动的通知》,部署了国企、央企信创国产化的具体要求和推进时间表,规定国企、央企须 在2027年完成信创全替代,替换范围涵盖晶片、基础软体、作业系统等,涵盖政府、金融、航空航太、电信、交通、校阅、医院、石油、电力等领域。
     



    2023年底,中国官方进一步定调科技国产化,针对PC、笔记型电脑和伺服器发布更严格政府采购准则,首份「安全且可信」处理器与作业系统的清单,几乎全是中国企业供应 商。 也象征逐渐降低采购英特尔、AMD的CPU晶片和微软的作业系统,是未来官方软硬体采购的必然方向。
     



    是的,中国政府和国企等放弃英特尔、AMD等西方国家的晶片,转为国产替代的期限是:2027年。
     








    美国自从2018年陆续制裁中兴、华为以来,演变成对中国全面性的封锁,当中有三大举措影响最深远(由近至远):
     



    第一,针对中国AI算力封锁,以防中国扩张AI算力能力应用在国防、军事系统上。 从最早在2022年,第一枪限制Nvidia的高阶GPU供应,一直演变到2023年直接针对AI算力晶片的限制,间接阻断台积电为中国国产GPU晶片代工的这一条路。
     



    第二,美国《晶片法案》规定,获得美国补助的企业在中国等国家扩展半导体生产和研发将会有严格的限制,间接阻断了英特尔、台积电、三星等在中国制程技术与晶圆厂扩 产的进展。
     



    第三,2022年限制美国籍公民从事中国半导体制造相关工作,影响所及涵盖长江存储、合肥长鑫、中微半导体等。 由于中国科技产业几乎是海归派和延揽海外人才所建立而成,此限制直接从人才下手,影响十分巨大。
     



    第四,对ASML光刻机的限制,从极紫外光EUV扩大到成熟制程使用的DUV系统,也等同直接扩张长臂管辖的范围。 日前更传出美国要求荷兰阻止ASML对中国半导体厂进行维修服务。
     



    美国与中国的科技领域全面对抗,发展到英特尔这个层级,算是来到另一个高峰。 过去我们对科技世界的认知是,CPU为科技产品的核心,无论如何英特尔的地位是很难被替代的。 不过,自从生成式AI强势席卷全球,科技世界运转的主导者来到Nvidia身上,既然GPU都可以被禁,火烧到CPU身上也不用太大惊小怪。
     



    就台湾产业而言,英特尔、AMD恐被中国排除在外,产业链估计影响有限,因为去中化已经行之有年,无论对晶圆代工、IC设计、AI供应链等,影响均不大 。
     



    对中国而言,看来科技产业真的走到一个系统、两个世界的分岔路上,未来科技产业系统将分为中国标准和非中标准,无论大家如何争议中美之间的真假脱钩 ,在科技系统上,双方脱钩成两个标准已经是在路上。

     
  • View More intel Gaudi.JPG
    人工智能和物联网

    突袭Nvidia! 英特尔推出AI晶片Gaudi 3宣称“部分性能优于H200”

    英特尔Intel Vision 2024大会于4月8日-9日在美国亚利桑那州凤凰城登场,会中宣布推出Gaudi 3 AI加速器,由台积电5nm制程代工,挑战Nvidia在AI领域高市占率,企业生成式 AI带来新选择。
     

    英特尔的Gaudi 3与Nvidia的H100相比,支援AI模型执行推理快了50%,训练大模型则比H100快了40%。 英特尔更指出,Gaudi 3的表现将与Nvidia的H200比肩,在某些领域的表现甚至会优于H200。
     

    再者,英特尔Gaudi 3在Llama上做测试,可有效地训练或部署AI大模型,包括文生图的Stable Diffusion和语音辨识的Whisper等。
     

    英特尔Gaudi 3加速器将于2024年第二季,提供OEM通用基板和开放加速器模型(Open accelerator module, OAM),2024第三季全面上市,包括戴尔科技、慧与科技(HPE)、联想和美超微 等,都将采用Gaudi 3。



    Gaudi 3加速器的主要特点:
     

    AI专用运算引擎:Intel Gaudi 3加速器专为生成式AI运算打造。 每台加速器都有专属的异质运算引擎,由64个AI自订和可编程TPC和8个MME组成。 每个Intel Gaudi 3 MME皆能执行64,000个平行运算,运算效率极高,擅于处理复杂的矩阵运算,这也是深度学习演算法的基础运算。 此独特的设计大幅提升平行AI运算的速度和效率,并支援多种资料类型,包括FP8和BF16。
     
    提升内存容量,满足LLM容量需求:Intel Gaudi 3搭载128 GB的HBMe2内存容量、3.7 TB的内存带宽和96 MB的on-board静态随机存取内存(SRAM),能够在更少在的Intel Gaudi 3 上,提供处理大型生成式AI资料集所需的足够内存,且特别适用于大型语言和多模态模型。
     
    为企业提供生成式AI高效系统扩充:每个Intel Gaudi 3加速器皆整合24个200 GB的以太网端口,提供灵活的开放标准网络,实现高效扩充,以支援大型运算集,并克服专有网路 架构的供应商限制。 Intel Gaudi 3加速器实现单一节点到上千节点的高效扩充,以满足生成式AI模型的广泛要求。
     
    开放产业软件提升开发人员生产力:Intel Gaudi软件整合PyTorch框架,并提供基于Hugging Face社群的优化模型,是目前生成式AI开发人员最常用的AI框架,让生成式AI开发人员能够在高度在抽象 层上进行操作,提升易用性和生产力,并可轻松地将模型转移到不同硬件类型上。
     
    Gaudi 3 PCIe:Gaudi 3高速PCIe附加卡是全新产品,外型规格专为实现高效率并降低功耗设计,适用于微调、推理和检索增强生成(RAG)等工作,配备功率600瓦的标准( Full-height )封装,128GB的记忆体容量,且带宽达到每秒3.7TB。
  • View More t.jpeg
    半导体

    張忠謀憂心:英特爾竟然兩度與拜登同台做國情咨文,利用地緣政治挑戰台積電地位

    2023-10-14

    2023年10月14日台積電復辦因疫情停止三年的運動會,創辦人張忠謀以「貴賓」身分參與。 不過,他上台致詞時強調:「我不是貴賓,一直是自己人!」他更在致詞中直接表示,全球化和自由貿易都沒了,現在最重要的是國家安全,而且會有別的國家 利用地緣政治的趨勢,對台積電形成相當大的挑戰!
     



    在早上運動會節目後,張忠謀與媒體記者進行一場深度對談,談台積電最大的挑戰者是誰?  從哪裡觀察到這位挑戰者在晶圓代工領域旺盛的企圖心? 對於全球各地狂建半導體晶圓廠的看法,以為未來20~30年台灣半導體優勢是否能維持。
     

    張忠謀口中晶圓代工領域的頭號競爭者就是英特爾。
     

    十年前,他曾經形容三星和英特爾是兩隻700磅的大猩猩; 如今,他再談全球晶圓代工競爭時,對三星早已是隻字不提。 現在的張忠謀眼裡最在意的只有英特爾。
     

    《問芯Voice》對張忠謀提問:您過去曾表示英特爾雖然很想做晶圓代工,但這家公司的企業DNA裡面是缺乏服務精神的,而服務客戶是Foundry的核心,為什麼現在您卻認為 英特爾的Foundry事業可能會影響台積電的地位? 是因為技術的追趕? 與美國政府的關係? 還是地緣政治的因素?
     

    張忠謀回應:很明顯的,美國政府和有些美國客戶開始顧慮到價格、成本的問題,加上英特爾受到美國政府高度重視。 他更是觀察到拜登和英特爾CEO基辛格之間不尋常的互動與好交情。
     

    他分析,英特爾的基辛格重新回到英特爾執掌CEO之後,受到美國政府的高度重視,2021年1月英特爾宣布基辛格上任,同年4月拜登對美國國會的國情咨文(State of the Union Address)報告上,基辛格也與會並宣揚高科技產業必須重回美國製造的理念,拜登也特別向現場的國會議員介紹英特爾CEO基辛格(Patrick Gelsinger),這是給英特爾很大的 面子。 隔年,2022年4月拜登的國情咨文報告中,又看到基辛格的參與出現。
     

    從基辛格連續兩年去參加拜登跟國會報告的舉動,可以看出在拜登政府眼中,美國重返製造的最佳model典範是英特爾。
     

    張忠謀直言,基辛格回到英特爾擔任CEO後,一直把台積電當成目標,表示非跟台積電競爭不可,而且英特爾持續增加研發投資且擴產的動作,也是走規模經濟:當你把東西越做越 多、規模越做越大,成本減低的機率越高。




    張忠謀講了一個小故事分析這個理論,他也透露這是台積電成功的秘密。
     

    他分享,50年前他還在德州儀器(TI)時,當時還在波士頓顧問BCG工作的貝恩Bill Bain在德儀有一個辦公室,他研究出經驗曲線(Experience Curve)模型理論,意即生產 規模越大、經驗值累積越多,降低成本的機會也會越大,當時德州儀器已經開始採用經驗曲線模型理論,這也是後來台積電的成功秘訣,不斷投資擴大營運規模和超前研發。 而張忠謀認為,現在的英特爾也正在用這個方式在追趕台積電。
     

    張忠謀表示,客戶那邊也釋出,如果有一家公司可以提供好的服務、好的良率、技術又能趕上、價格又好,客戶也會想要試試看,尤其是生產製造在美國, 更是符合現在美國客戶想要的趨勢。 但他也強調:認為這樣的情況不會發生,只是心理仍是有陰影存在。 言談之間流露出對台積電處境的關心與擔心,畢竟曾在美商工作長達30年的張忠謀,很清楚美國政府現在心裡在想什麼,以及是如何與英特爾連成一線。



    針對當前全球瘋建立半導體的趨勢仍是持續,台積電全球建廠計畫已經有美國、日本、德國三個生產基地啟動,張忠謀最看好哪一個國家做半導體最有機會?
     

    他的答案是日本,熊本廠位處的九州,包含整個日本都是土地、水電等資源充足,這是做半導體做必要的條件,加上日本的工作文化很好,日本做半導體是很適合的 。 他在50年前在德州儀器時也在日本設立了一個封測裝備廠,結果相當滿意。
     

    再者,張忠謀認為新加坡其實也是一個蓋晶圓廠很理想的地方,但就是地方小,因此水、土地的資源不夠。
     

    耐人尋味的是,他也提到中國大陸蓋晶圓廠的適合性,他頻頻點頭(示意非常合適),但最後把話止住:大陸就不說了。 推測他的意思是,台積電已經在上海松江、南京蓋多座晶圓廠,成效佳且也完成了擴產目標,但因為地緣政治因素,眼前的局勢與最初在南京想要大展身手的初衷 來看,難免有點出入,他心中應該也是頗感遺憾。 把話止住可能認為說了也改變不了什麼。
     

    談到美國半導體製造的環境,張忠謀表示,他在美國的半導體經驗是1955~1983年,他認為1955年~1972、1973年左右半導體製造環境和今天的台灣幾乎是一樣好,後來的美國雖然失去 蠻大一部分半導體製造,但整個產業已經升級到IC設計,不用投入很多資本,是個很高等的行業,賺很多錢,後來也孕育出英偉達、蘋果這些企業,微軟、Google也開始做晶片設計。
     

    談到台灣的半導體競爭力,他認為20~30年後,台灣的半導體製造環境不會像現在這麼有力,有兩個原因:一是與經濟發展的情況相關; 第二是,20年後的 台灣年輕人不可能像以前的工程師一樣,半夜12點工廠的設備出問題,還願意馬上衝回公司處理。
     

    他曾經對美國有人說到台灣半導體成功的秘訣是:不管多晚,只要台積電廠內的機台設備有什麼問題,工程師都會立刻回廠內修好才回家繼續睡覺,連他們太太對這樣的狀況 都沒意見,美國人紛紛感到不可思議。
     

    至於20~30年後誰的勢力會抬頭? 張忠謀幽默說,當時美國友人接著問了這個問題,你們(現場媒體)怎麼沒人問這題? 他回答,也許是印度、越南、非洲,who knows。
     

    以巴戰爭的情況也成為今天現場訪談的詢問點。 張忠謀表示,這對半導體供應鏈的影響與關聯性是很小的。
     

    現場有媒體問到:過往英特爾CEO三番兩次說台灣是世界上最危險的地方,暗示客戶應該要考慮轉單到其他地方投單生產,但現在以色列陷入戰火,而英特爾在以色列有投資研發 中心和廠房,會給英特爾什麼提醒? 張忠謀笑言:我才會不給提醒呢! 他也補充,認為這事對英特爾的影響其實不大。
     

    另外,他透露,他本來有邀請英偉達的黃仁勳來參加台積電運動會,但這個時間點黃仁勳本來是要去以色列參加AI高峰會,因此無法參加。

     
  • View More intel.JPG
    半导体

    英特爾終止收購以色列高塔半導體,過剩的成熟製程產能不再是香餑餑

    2023-08-16

    英特爾宣布終止收購以色列高塔半導體 Tower Semiconductor,主要原因是未能取得中國監管機構的批准。 根據協議,英特爾將支付給Tower約3.53 億美元的分手費。
     



    英特爾在 2021 年 3 月宣布 IDM 2.0 經營策略後,遂於2022 年 2 月宣布以 54 億美元收購以色列Tower Semiconductor,快速取得晶圓代工業務的產能、經營知識與代工客戶。
     



    當時,英特爾預期能在12個月內完成該收購交易,但因為審批程序問題,該交易收購期限從2023年2月延長至2023年6月,後來再度延長至8月中旬。 由於一直拿不到中國監管機構的批准,英特爾只有兩個選擇,一是再次延長交易期限,或取消該收購交易。 最後英特爾了選擇後者。
     



    2021年英特爾宣布要回歸晶圓代工業務後,併購成為英特爾快速拉近與台積電距離的策略之一。 先是傳出有意收購格芯GlobalFoundries未成,之後又鎖定以色列的高塔半導體作為收購目標,雙方很快達成協議。
     



    當時英特爾的計畫是,在收購成功後可獲得高塔的四座晶圓廠(以色列6寸和8寸廠各一座+美國加州和德州的各一座8寸廠),以及高塔與日本松下合資位於 日本的三座晶圓廠(兩座8寸+一座12寸廠),快速協助英特爾補足成熟製程節點,讓英特爾的專業Foundry形象更鮮明。
     



    雖說這次併購終止原因是未能取得監管審核,然這一年多來半導體產業發生的變化,以及英特爾在晶圓代工上策略的不斷修正,或許也過了雙方情投意合的蜜月期。
     



    首先,整個半導體市場在疫情後需求極度疲軟,整個市場從缺芯之苦轉變成供給過剩,且消化庫存的時間拉很長,成熟過程產能不再是香餑餑。
     



    再者,英特爾的晶圓代工重心逐漸放在先進製程的研發進度上,且英特爾也宣布從2024年首季起,在會計報表上會將IFS(Intel Foundry Services)的財報獨立出來。
     



    業界認為IFS的財報獨立有兩個觀察點,第一是英特爾未來剝離IFS部門的機率上升。 第二,只要英特爾剝離IFS部門,晶片設計部門可以更遵從本心,屆時外包給台積電生產的比重會增加。

     



    另一個討論點是,英特爾要發展Foundry業務,主攻先進製程會比較有意義。
     



    日前,英特爾才與EDA/IP巨頭新思科技(Synopsys)宣布簽署協議,將技術建構模組納入英特爾先進代工服務,給Intel 3 和Intel 18A 先進製程使用,其中Intel 18A是基於新的電晶體架構 。 這次英特爾與新思的合作是IFA部門在先進流程策略上非常重要的一步,暗喻向台積電和三星下戰帖。
     



    不過,英特爾在先進製程部署上也是有不小挑戰。 2021年7月英特爾表示在IFS事業上已經有兩大客戶:高通和亞馬遜。
     

    但近日卻傳出高通可能終止高通Intel 20A的開發計畫。 英特爾的Intel 20A過程約莫與台積電3nm製程節點相當,更重要的是,英特爾的Intel 18A要採用RibbonFET架構PowerVia技術需要很良好的Intel 20A過程打好基礎。 意即,在Intel 20A流程上獲得一個代表性的大客戶與英特爾一同開發是非常重要的,如果高通真的要喊停,這會比較麻煩。
     
  • View More TSMC 2023.JPG
    半导体

    台積電魏哲家:英特爾與三星就算沒代工客戶,日子一樣很滋潤,但我們可不行!

    2023-05-11



    今日,台積電技術論壇台灣場登場,總裁魏哲家講述過去三年疫情與地緣政治帶來的影響、AI技術的價值,以及摩爾定律的精髓。
     



    魏哲家今日在科技論壇上,當著所有客戶的面前提到台積電的競爭對手時這樣說:我真正的競爭對手其實不多,有兩家,一家在韓國(三星),另一家在加州(英特爾) ,他們有自己的產品,有自己的生存之道,如果沒有你們(IC設計客戶)的生意,他們還是可以過得很滋潤。 可是呢,台積電可是靠著你們的生意往前進的啊!
     



    他進一步說,「你會把產品設計交給也會設計這個產品的人嗎?」(意指三星與英特爾都是IDM,都有自己的產品,都與IC設計客戶是競爭關係)晶圓代 工廠與IC設計公司之間講求的是信任,沒有信任就無法合作,也無法推進技術革新,推動生產成本降低。
     



    魏哲家強調,台積電與顧客之間特關係只有一個關鍵字:信任(trust)。 台積電不會和客戶一起成功,而是客戶先成功,台積電才能有商機,之後才能成功。
     



    在論壇上,緊接著魏哲家出賽的嘉賓是聯發科總經理陳冠州。 魏哲家介紹他出場時說:現在景氣差,生意不好,希望陳冠州能多講些好話,台積電需要一些鼓勵。
     

    陳冠州一上台也幽默回應:講好話沒問題,但希望台積電的代工價格能降一點,而且要好好照顧聯發科的「天璣」品牌,希望能當作是自己兒子一樣來對待,給予不一樣的 支持。
     

    魏哲家表示,過去三年的疫情讓全世界看到半導體的重要性,許多國家在隔離期間,人們可以保持聯繫,都是仰賴半導體技術,以及台積電利用高效能運算HPC幫助疫苗加速成功研發。
     



    還有更多複雜的事情發生,例如地緣政治。 他舉例,在十分遙遠的地方發生戰爭,竟然導致全世界原材料通貨膨脹嚴重,更使得半導體製程中使用的氖氣價格大漲了6~7倍,笑言「很多客戶聽到這裡,應該在 想:這傢伙又想著要漲價了吧!”
     



    魏哲家也妙喻AI的價值所在。 他提到有次與一家AI領先企業做生意,一顆AI晶片賣他們600美元,後來台積電要跟這家企業買他們的AI產品時,卻花了20萬美金。 有天他遇到這個客戶忍不住問他:「My friend, are you really my friend?」 語畢,全場大笑。
     



    魏哲家在這次科技論壇中,持續展現妙語如珠的業務長才。 前陣子很紅的「晶片戰爭」(Chip War)一書,他即興問現場觀眾看過的舉手? 估計舉手的人不多,他又說:這樣好了,聽過這本書的人舉手。 沒關係,反正裡面寫的也不一定完全是對的,不過呢.....寫我老闆(張忠謀)的部分很對的。
     

    提到摩爾定律,魏哲家指出,過去談到摩爾定律都是著重在每兩年要增加一倍的晶體管數目,不斷追求線寬微縮,但其實真正的摩爾定律精髓是:把不同的chips整合在一起 ,又能提供足夠好的效能、功耗,且成本不能太高。
     



    台積電多年前就開始思考這個問題,只是當時沒想到極紫外線EUV技術可以發展到今天這樣的境界。 魏哲家說,對EUV設備是又愛又恨,一台要價2億多美元,價格高到可以蓋200間房子。
     



    3nm與2nm全球領先
     



    台積電業務開發資深副總經理張曉強表示,台積電 3nm是全球最領先的技術,N3E 已通過技術驗證,性能與良率均達標,也獲得第一批客戶產品設計定案,在下半年量產。 台積電預計2025年量產2nm製程,2nm量產時也會領先全世界。
     

    目前也看到許多客戶包括手機、高效能運算HPC客戶都積極推進採用台積電的3nm流程,踴躍程度超過5nm製程。
     



    張曉強也說,包括手機、高效能運算客戶都積極採用3nm 製程,踴躍程度勝過5nm 同期,車用客戶也急於推進3nm,也因此,台積電推出業界首個基於3nm的 Auto Early 技術N3AE,提供 以N3E 為基礎的汽車製程設計套件,將有助於縮短客戶產品上市時間2-3 年。
     



    張曉強進一步指出,也看到車用客戶急著推進3nm製程技術,台積電為此業界第一個基於3nm的Auto Early 技術N3AE方案,提供以N3E 為基礎的汽車製程設計套件,將有助於縮短客戶產品 上市時間2-3 年。
     



    特色製程方面,也是台積電的重點。 2019年~2023年特色產能年複合成長率約10%,佔成熟製程比重從2019年的54%,2023年提升至67%。
     

    台積電先進技術暨光罩工程副總經理張宗生表示,台積電積極擴充3D Fabric先進封裝產能,預計至2025年無塵室面積將擴增為2021年的2倍以上規模。
     



    自2017年~2019年,台積電平均每年建2座新廠,2020年建6座新廠、2021年建7座、2022年建3座,今年將再建2座新廠。
     



    台積電也積極擴大全球生產據點,張宗生指出,南科晶圓18廠將有3個廠區是3nm的生產基地,竹科的晶圓20廠2022年開始建廠,預計2025年量產2nm,台中的 2nm新廠預計2024年開始建廠。
     



    美國廠方面,亞利桑那州的晶圓21廠將建2座廠,一廠預計2024年量產4nm流程,二廠正在建廠。 日本晶圓方面,2024年預計23廠將會量產特色製程。